Prof.A M Mahajan

Prof.A M Mahajan


Papers / presented in Conference / published in conference proceedings:

     
1.	Sumit Patil, Viral Barhate, Vilas Patil, Khushabu Agrawal, and Ashok Mahajan,  The effect of post-deposition annealing on the Chemical, Structural, and Electrical Properties of Al/ZrO2/La2O3/ZrO2/Al High-k Nanolaminated MIM Capacitors , International Journal of Material Science: Materials in Electronics 33, pages 11227–11235 (2022). (IF – 2.779)
2.	Sumit Patil, Viral Barhate, Haoyu Xu, Mohammad Rasadujjaman Jing Zang and Ashok M. Mahajan,  Investigation of Electrical properties of PEALD deposited Ti/Al2O3/AL/Si MIM capacitors Vol. 35, Nos. 14–16 (2021) 2140045,International journal of Modern Physics B. SCI IF 1.21

3.	Swati Gupta, Anil Gaikwad, Ashok Mahajan Z W He, H X Lin,  Sol gel deposited xerogel, aerogel and porogen based porous low-k thin films: A comparative investigation , Vol. 35, Nos. 14{16 (2021) 2140019,International journal of  modern physics B. SCI-IF-1.21

4.	Viral N. Barhate, Vilas S. Patil, Khushabu S. Agrawal, Sumit R. Patil, Ashok M. Mahajan,  Performance Enhancement of Al/La2O3/ZrO2/4H-SiC MOS device with LaON as Interfacial Passivation Layer , 117, (2020),105161 Material Science in semiconductor processing (MSSP),   SCI-IF-3.927.

5.	Z W He, H X Lin, C Y LI, A M Mahajan, S A Gupta, M Zhou,   Preparation and Characterization of Low Dielectric Constant Films Using Silicon Sources , Materials Science Forum, 993, 927-932.SCI-IF-0.350

6.	Viral Barhate, Khushabu Agrawal, Vilas Patil and Ashok Mahajan,  Post-deposition-annealed lanthanum-doped cerium oxide thin films: structural and electrical properties Rare Metals (4 April,2020).SCI-IF– 2.24.

7.	Temperature dependent study of slow traps generation mechanismin HfO2/GeON/Ge(110) metal oxide semiconductor devices Khushabu S. Agrawal, Vilas S. Patil, Viral Barhate ,Geonju yoon, Youn Jung Lee,  and Ashok M. Mahajan,  JunSin Yi, Solid state Electronics,167 (2020),107797.SCI-IF– 1.796.

8.	K S Agrawal, V N Barhate, V S Patil, L S Patil and A M Mahajan   Plasma enhanced Atomic layer deposted La2O3 ultra thin films on Si and 6HSiC: A comparative study  Applied Physics A, 126 (8) 1-10 (2020) IF 1.810
9.	Anil S. Gaikwad, Yogesh S. Mhaisagar, Swati A. Gupta, Bhavana N. Joshi, Kandasami Asokan and Ashok M. Mahajan,  Amorphisation of SiO2 thin films by using 200MeV Ag15+ ions  Silicon (Springer), 1-5 (2019), SCI-IF– 2.670.

10.	Patil Sumit, Khushabu Agrawal,Viral Barhate, Sumit PatilandAshok Mahajan,
 XPS study of Homemade Plasma Enhanced Atomic Layer Deposited La2O3/ZrO2Bilayer Thin Films  Semiconductor science and Technology (IoP) –Vol. 34, No. 3 (2019),  SCI-IF-2.71.

11.	Khushabu S. Agrawal, Vilas S. Patil, and Ashok M. Mahajan  Atomic Layer Deposited HfO2 Ultra-thin Films on Different Crystallographic Orientation Ge for CMOS Applications  to Thin Solid Film 654, 30-37(2018) SCI-IF–2.183.

12.	Viral Barhate, Khushabu Agrawal, Vilas Patil, Sumit Patil and Ashok Mahajan  Spectroscopic study of La2O3 thin films deposited by indigenously developed plasma-
enhanced atomic layer deposition system   to International Journal of Modern Physics B Vol. 32, No. 19 (2018),  SCI-IF-1.21.

13.	Vilas S. Patil, Khushabu S. Agrawal, Anil G. Khairnar, Brian J. Thibeault and Ashok M. Mahajan  Interfacial and electrical properties of Al2O3/HfO2 bilayer deposited by atomic layer deposition on GeON passivated germanium surface  Materials Research Bulletin 87, 208–213 (2017). SCI-IF- 4.019
14.	Anil G. Khairnar, Vilas S. Patil, Khushabu S. Agrawal, Rahul S. Salunke and Ashok M. Mahajan, ‘PEALD grown high-k ZrO2 thin films on SiC Group IV compound semiconductor’ Semiconductor (Springer)51 (1), 131-133 (2017), SCI-IF-0.641.

15.	Khushabu S. Agrawal, Vilas S. Patil, Anil G. Khairnar, and Ashok M. Mahajan  Preparation of rare earth CeO2 thin films using metal organic decomposition method for metal-oxide–semiconductor capacitors  Journal Materials Science: Materials in Electronics 28, 12503–12508 (May 2017) SCI-IF-2.22.

16.	Namrata B. Pawar , Yogesh S. Mhaisagar , Anil S. Gaikwad and Ashok M. Mahajan  Synthesis and Characterization of porogen based porous low-k thin films  Silicon (Springer) 9(3), 439-446 (2017) SCI-IF–2.670.

17.	Swati A. Gupta, Anil S. Gaikwad and Ashok M. Mahajan Tween-80 based ultra-low-k (ULK) mesoporous films  journal of Materials Science: Materials in Electronics 28, 14763–14768 (June 2017) SCI-IF- 2.22

18.	Yogesh S. Mhaisagar, Anil S. Gaikwad, Anil G. Khairnar and Ashok M. Mahajan  Enhancement in mechanical properties of silica low-k thin films by using wet chemical technique , Indian Journal of Pure & Applied Physics, 54, 439-442, (2016) SCI-IF – 0.653

19.	Khushabu S. Agrawal, Vilas S. Patil, Anil G. Khairnar and Ashok M. Mahajan  HfO2 gate dielectric on Ge(111) with ultrathin nitride interfacial layer formed by rapid thermal NH3 treatment  Applied Surface Science, 364, 747–751, (2016), SCI-IF-6.707.


20.	A. M. Mahajan, Anil G. Khairnar, Brian J. Thibeault,  High Dielectric constant ZrO2 Films by Atomic Layer Deposition Technique on Germanium Substrates , Silicon, 8 (3), 345-350 (2016) SCI-IF– 2.670. 

21.	Anil S. Gaikwad, Swati A. Gupta and Ashok M. Mahajan,  Influence of HF acid catalyst concentration on properties of aerogel low-k thin films , Materials Research Express (IOP) 3, 086403 (2016) SCI-IF – 1.620.

22.	Vilas S. Patil, Khushabu S. Agrawal, Anil G. Khairnar, Brian J. Thibeault and Ashok M. Mahajan  Structural and Electrical Properties of Ultra-Thin High-k ZrO2 Film on Nitride Passivated Ge (100) Prepared by PEALD  Materials Science in Semiconductor Processing (Elsevier) 56 277-281 (2016) SCI-IF-3.927.

23.	A. G. Khairnar, L. S. Patil, R. S. Salunke and A. M. Mahajan,  Electrical properties of HfO2 high-k thin film MOS capacitor for advanced CMOS technology  Indian Journal of Physics (Springer), 89(11), 1177-1181 (2015) SCI-IF- 1.947

24.	A. M. Mahajan, Anil G. Khairnar, Brian J. Thibeault  Electrical properties of MOS capacitors formed by PEALD grown Al2O3 on silicon  Semiconductors (Springer), 48 (4) (2014) SCI-IF- 0.641.

25.	Yogesh S. Mhaisagar, Bhavana N. Joshi and A.M. Mahajan,  Mechanical properties of surface modified silica low-k thin films , Microelectronics Engineering, 114, 112-116 (2014) SCI-IF- 2-305.

26.	Anil G. Khairnar and Ashok M. Mahajan  Effect of post-deposition annealing temperature on RF-Sputtered HfO2 thin film for advanced CMOS technology , Solid State Sciences, 15, 24-27 (2013) SCI-IF- 3.059.
27.	Bhavana N. Joshi and A.M. Mahajan  Monomer methyl methacrylate (MMA) incorporated hybrid low-k thin films , Electronics materials Letters 9 (6), 723-728 (2013) SCI-IF-1.894.

28.	Anil G. Khairnar and A. M. Mahajan,  Sol-Gel Deposited Ceria Thin Films as Gate Dielectric for CMOS Technology , Bulletin of Material Science, 36, 259-263 (2013) SCI-IF-1.783.

29.	Anil G. Khairnar, Y.S. Mhaisagar, A.M. Mahajan  Surface Passivation of Germanium Using   in RTP for High Mobility MOS Structure , Journal of Nano and Electronics Physics, 5 (2013).SCI-IF-0.57.

30.	Anil G. Khairnar Y. S. Mhaisagar and A. M. Mahajan  Synthesis of Cerium Dioxide High-k Thin Films as a Gate Dielectric in MOS Capacitor , J. Nano- Electron. Phys. 5(3), 2013.
	SCI-IF-0.57

31.	Yogesh S. Mhaisagar, Bhavana N. Joshi and A. M. Mahajan,  Synthesis of SiOF nanoporous Ultra low-k thin film , Journal of Material Science: Materials in Electronics 24 (12), 4964-4969 (2013) SCI-IF- 2.22.

32.	Yogesh S. Mhaisagar, Renuka Kawishwar, Bhavana N. Joshi, and A.M. Mahajan,  Deposition of porous low-k thin films using Tween-80 porogen for ILD application in  ULSI circuits  SPIE Proceedings, 8549, 85493K-1 (2012) SCI-IF- 0.37.

33.	Yogesh S. Mhaisagar and A.M. Mahajan,  Sol-Gel Deposited Porogen Based Porous Low-k Thin Films for Interlayer Dielectric Application in ULSI Circuits , Journal of Nano and Electronics Physics, 4, (2012).SCI-IF-0.57

34.	Yogesh S. Mhaisagar, Bhavana N. Joshi and A. M. Mahajan,  Surface texture modification of spin coated SiO2 xerogel thin films by TMCS silylation , Bulletin of Material Science, 35 , 151-155 (2012) SCI-IF- 1.783.

35.	Bhavana N. Joshi, Yogesh S. Mhaisagar and Ashok M. Mahajan,  Analysis of interconnect capacitance for sub nano CMOS technology using the low dielectric material , Microelectronics Reliability, 51 953–958, (2011) SCI-IF-1.74.

36.	Yogesh S. Mhaisagar, Bhavana N. Joshi and A. M. Mahajan  Deposition and surface modification of low-k thin films for ILD application in ULSI circuits , Journal of Nano- and Electronic Physics 3, 99-103, (2011).SCI-IF-0.57

37.	A.M. Mahajan, Anil G. Khairnar, Brian J. Thibeault,  Pt-Ti/ALD-Al2O3/p-Si MOS capacitors for future ULSI technology , Journal of Nano-and Electronic Physics 3, 647-650 (2011).SCI-IF-0.57

38.	Pravin M. Tirmali, Anil G. Khairnar, Bhavana N. Joshi and Ashok M. Mahajan,  Structural and electrical characteristics of RF-sputtered HfO2 high-k based MOS capacitors , Solid state Electronics, 62, 44-47 (2011) SCI-IF- 1.437.

39.	Bhavana N. Joshi and A. M. Mahajan,  Deposition Characterization of Low-k Hybrid Thin Films Using Methyl Methacrylate for ULSI Applications , Materials Science and Engineering, B 168, 182-185, (2010) SCI-IF-4.706.

40.	Bhavana N. Joshi, M .A. More and A. M. Mahajan,  Growth and characterization of MMA / SiO2 hybrid low-k thin films for interlayer dielectric applications , Bulletin of Material Science, 33(3), 197-201, (2010) SCI-IF-1.783.

41.	Bhavana N. Joshi and A. M. Mahajan,  Sol gel deposited SiO2 and hybrid low dielectric constant thin films  Material Science in Semiconductor processing, 13(1), 41-45, (2010)  SCI-IF-3.927.

42.	Bhavana N. Joshi, Yogesh S. Mhaisagar and A.M. Mahajan,  Surface modification of porous SiO2 thin film by chemical treatment , Optoelectronics and Advanced Materials-Rapid communications, 4(9), 1304-1306, (2010) IF-0.42.

43.	Abhay G. Shrivas, A. M. Mahajan,  Effect of variation in preparation temperature on the conductivity of PANI , Optoelectronics and Advanced Materials-Rapid communications, 2(12), 859-862, (2008) IF-0.42.

44.	Bhavana N. Joshi and A.M. Mahajan,  Porous SiO2 thin films for ULSI applications , Presented in XIV International workshop on the Physics of Semiconductor Devices IWPSD 2007 held at IIT, Mumbai during 16th - 20th Dec. 2007 Published in Proceedings on 261-263,ISBN: 978-1-4244-1728-5 (March-2008).

45.	Bhavana N. Joshi and A.M. Mahajan,  Synthesis and analysis of low-k material for intermetal dielectric applications in VLSI , Journal of Optoelectronics and Advanced Materials, 10(2), pp. 422-426, (2008) IF-0.59.

46.	Anil B. Patil, A. M. Mahajan,  Synthesis and characterization of sol-gel derived CeO2 dielectric thin films for CMOS devices , Optoelectronics and Advanced Materials-Rapid communications, 2(12), 811-813, (2008) IF-0.41.

47.	Abhay Shrivas, R. G. Bavane and A.M. Mahajan,   Electronic Nose: A Toxic gas sensor by Polyaniline Thin film conducting polymer , Presented in XIV International workshop on the Physics of Semiconductor Devices IWPSD 2007 held at IIT, Mumbai during 16th-20th Dec. 2007, Published in Proceedings on pp 621-623,ISBN: 978-1-4244-1728-5 SCI(March-2008).

48.	B. N. Joshi and A. M. Mahajan,  Growth and Characterization of Porous SiO2 Thin Films for Interlayer Dielectrics Applications in ULSI , Optoelectronics and Advanced Materials-Rapid communications, 1(2), pp.  659-662, (2007) IF-0.41.

49.	A. M. Mahajan, L. S. Patil, J.P. Bange, and D. K. Gautam,  TEOS-PECVD deposition System for high growth rate deposition of SiO2 films. , Vacuum, 79/3-4, pp. 194-202, (2005)  SCI-IF- 3.627.

50.	R. K. Pandey, L. S. Patil, J. P. Bange, A. M. Mahajan and D.K. Gautam,  Growth and Characterization of SiON thin films by using thermal-CVD Machine , Optical Materials, 25(1) , pp. 1- 7, (2004)  SCI-IF-2.687.

51.	A M Mahajan, L S Patil, J P Bange & D K Gautam,  Growth of SiO2 films using TEOS-PECVD system for microelectronics applications , Surface Coating and Technology, 183/2-3, pp. 295-300, (2004) SCI-IF-3.784.


52.	A. M. Mahajan, L. S. Patil and D. K. Gautam,  Influence of process parameters on the properties of PECVD deposited SiO2 films , Surface and Coatings technology, 188/189C, pp. 314-318, (2004) SCI-IF-3.784.

53.	A. M. Mahajan, L. S. Patil, J.P. Bange, and D. K. Gautam  Growth and Characterization of SiO2 films for the fabrication of Optical Wave guides, , Journal of Optics, 31(2), pp. 53 -58, (2002).

Other than SCOPUSpublications:

54.	Ravindra G. Bavane, Mahendra D. Shirsath and A.M. Mahajan,  Ammonia gas sensing characterization of chemically synthesized polyaniline matrix , Sensors & Transducers Journal, 113(2), 63-70, (2010) IF- 0.98.

55.	A.  Sonavane, B.  N. Joshi and A.M. Mahajan,  Analysis of capacitance across interconnects of low-k dielectric used in a deep sub-micron CMOS technology , Progress in Electromagnetics Research Letters 1, pp. 189-196, (2008) SCI IF- 0.62.

56.	A. B. Kadam and A. M. Mahajan,  Effect of positive feedback on the response of an Active  R  Filter , Journal of Instrument Society of India, 25(1&2), pp. 48-55, (1995).

57.	A. B. Kadam and A. M. Mahajan,  Performance of an active-R Filter in presence of positive feedback , Journal of Instrument Society of India, 24(1&2), pp. 35-41(1994).


Under Review: 01 (submitted after revision)


58.	Sumit Patil, Vaibhav Borokar, Viral Barhate, Khushabu Agrawal, Vilas Patil, Kuan Yew Cheong and Ashok Mahajan,  Metal-Organic Decomposed Lanthanum Cerium Oxide Thin Films: A Study of Chemical and Surface properties  under review inJournal of Material Science: Materials in Electronics (2022). (IF – 2.779)

.Submitted: 01
59.	Sumit R. Patil, Vaibhav Y. Borokar, Haoyu Xu, M. Rasadujjaman, Jing Zhang, Shi J. Ding, and Ashok M. Mahajan  Investigation of PEALD Deposited Penta Layered High-k Nanolaminates Sandwiched Between Al and Ti Electrodes for MIM Capacitors  submitted to the Journal of Rare Metals (2022). (IF – 6.318)

Stay Up to Date With What's Happening

Information Link

Contact Details